[Linux信号]使用signal函数注册信号-创新互联

#include 
#include 
#include 
//这是信号处理函数
void signalDeal(int sig)
{
  if(sig == SIGINT)    //对应ctrl+c
  {
    printf("CTRL+C按键被按下。\n");
  }
  else if(sig == SIGQUIT)  //对应ctrl+/
  {
    printf("CTRL+/按键被按下.\n");
  }
  else
  {
    printf("其他信号。\n");
  }
}
//以下是主函数
int main(int argc,char *argv[])
{
  signal(SIGINT,signalDeal);   //注册SIGINT对应的处理函数
  signal(SIGQUIT,signalDeal);  //注册SIGQUIT对应的处理函数
  while(1)    //永远循环
  {
  }
  return 0;
}

创新互联主要从事成都网站设计、做网站、网页设计、企业做网站、公司建网站等业务。立足成都服务临猗,十年网站建设经验,价格优惠、服务专业,欢迎来电咨询建站服务:18982081108

另外有需要云服务器可以了解下创新互联scvps.cn,海内外云服务器15元起步,三天无理由+7*72小时售后在线,公司持有idc许可证,提供“云服务器、裸金属服务器、高防服务器、香港服务器、美国服务器、虚拟主机、免备案服务器”等云主机租用服务以及企业上云的综合解决方案,具有“安全稳定、简单易用、服务可用性高、性价比高”等特点与优势,专为企业上云打造定制,能够满足用户丰富、多元化的应用场景需求。


名称栏目:[Linux信号]使用signal函数注册信号-创新互联
当前URL:http://bzwzjz.com/article/pdiie.html

其他资讯

Copyright © 2007-2020 广东宝晨空调科技有限公司 All Rights Reserved 粤ICP备2022107769号
友情链接: 温江网站设计 成都商城网站建设 成都网站建设 成都企业网站建设 网站制作 成都网站建设公司 成都网站设计公司 高端网站设计 H5网站制作 成都网站设计 成都品牌网站设计 企业网站建设公司 成都网站建设 网站建设公司 成都网站建设公司 手机网站制作 四川成都网站设计 攀枝花网站设计 营销型网站建设 成都网站设计 成都响应式网站建设 网站设计