Testbench中的checker如何理解

本篇文章给大家分享的是有关Testbench中的checker如何理解,小编觉得挺实用的,因此分享给大家学习,希望大家阅读完这篇文章后可以有所收获,话不多说,跟着小编一起来看看吧。

创新互联-专业网站定制、快速模板网站建设、高性价比木兰网站开发、企业建站全套包干低至880元,成熟完善的模板库,直接使用。一站式木兰网站制作公司更省心,省钱,快速模板网站建设找我们,业务覆盖木兰地区。费用合理售后完善,十年实体公司更值得信赖。

在测试平台中,从内部检查DUT的行为非常有用。 VCS提供了两种机制:assertexpect结构。如下所示:

[label:] assert (expression) [action block] [else statement]
[label:] expect (property spec) [action block]
[else statement]

   

你可以使用assert结构来检查当前仿真时间的行为,使用expect结构检查多个时钟周期的行为。

例如,在FIFO测试平台中,fifo_reset_check()task检查以确定DUT的输出是否在指定时间内的正确重置:

Testbench中的checker如何理解

fifo_reset_check()task首先检查empty在前两个时钟周期内置位。 如果empty在两个周期内没有被置位,VCS将发出以下验证错误:

Error: "fifo_test.v", 94:
fifo_test_top.test.fifo_reset_check.E1: at time 350

所有验证检查都应该有label,以便于调试。E1:expect语句阻止task执行下一行代码检查,直到成功或错误。接下来的五个A *代码行会立即检查其他输出信号是否被DUT正确置位。

以上就是Testbench中的checker如何理解,小编相信有部分知识点可能是我们日常工作会见到或用到的。希望你能通过这篇文章学到更多知识。更多详情敬请关注创新互联行业资讯频道。


分享题目:Testbench中的checker如何理解
网站路径:http://bzwzjz.com/article/jccpsj.html

其他资讯

Copyright © 2007-2020 广东宝晨空调科技有限公司 All Rights Reserved 粤ICP备2022107769号
友情链接: 专业网站设计 成都网站建设 外贸网站建设 阿坝网站设计 网站建设公司 重庆网站制作 高端网站设计 成都网站设计公司 定制网站设计 响应式网站设计 成都企业网站设计 成都网站建设 成都网站建设 成都定制网站建设 外贸营销网站建设 成都网站设计 手机网站制作 重庆网站制作 专业网站建设 成都网站设计 成都网站设计 成都企业网站制作